LOG100的简单模式对数放大电路

2017-08-14 10:05
LOG100的简单模式对数放大电路 如图所示为LOG100的简单模式对数放大电路。信号输入电流I1、I2经过LOG100变换为对数输出VOUT=K·LOG(I1/I2)。从等效电路图可以看出,输出端连接不同的脚(3、4、5)可以得到不同的系数K(1、3、5)。