《电子技术应用》
您所在的位置:首页 > 可编程逻辑 > 新品快递 > 中芯国际和新思科技扩展40nm低功耗Reference Flow 5.0

中芯国际和新思科技扩展40nm低功耗Reference Flow 5.0

2012-06-27
关键词: 开发工具 RTL GDSII SOC 40nm

    全球领先的半导体设计、验证和制造软件及知识产权(IP)供应商新思科技公司(Synopsys, Inc.,NASDAQ: SNPS),与世界领先半导体代工企业之一中芯国际集成电路制造有限公司(“SMIC”,NYSE: SMI以及SEHK: 981)今日宣布:从即日起推出其40纳米RTL-to-GDSII参考设计流程的5.0版本。此款经过生产验证的流程借助Synopsys的完整工具套件,将多样化的自动化低功耗和高性能功能整合在其中,给中芯国际的客户带来了目前芯片设计所需要的差异化性能和功耗结果。

    此参考流程是中芯国际与Synopsys专业服务部共同合作的成果,它充分运用Synopsys在先进芯片设计方法学领域的经验和专业知识。该参考流程具有新的高性能设计技术,包括用以提高一款系统级芯片(SoC)性能和响应能力的自动时钟网状综合,以及一个可使设计师快速实现设计收敛而不必对重新设计从头做起的门阵列工程更改指令(ECO)。该参考流程还包括对低功耗技术的支持,这些技术诸如能感知功率的时钟树型综合、功率选通与物理优化、以及由IEEE 1801驱动的低功耗设计意图标准等。

    “设计师渴求一种能够同时满足高性能和低功耗要求的参考流程,”SMIC公司设计服务副总裁汤天申说道。“随着SMIC-Synopsys参考流程5.0的发布,我们将使IC设计师能够通过将中芯国际的40纳米工艺技术和Synopsys的技术领先的设计解决方案相结合,而加速他们的设计通向制造的过程。”

     “客户们正在寻找使他们能够提供满足其特有性能目标与需求的设计工具与方法,”Synopsys公司企业营销和战略联盟副总裁Rich Goldman说道。“通过我们与SMIC的携手合作,我们能够为共同的客户提供一个经过认证的参考流程,以及直接通向高性能和低功耗的、专为中芯国际的40纳米低功耗工艺量身订做的设计解决方案。”

供货

    SMIC-Synopsys参考流程5.0现已可供货。更多信息,请访问http://www.smics.com/chn/design/reference_flows.php;或联系SMIC客户经理获得详细信息。

关于SMIC

    中芯国际积体电路制造有限公司("中芯国际",纽约证交所股票代码:SMI,香港联合交易所股票代码:981),是世界领先的积体电路晶圆代工企业之一,也是中国内地规模最大、技术最先进的积体电路晶圆代工企业。中芯国际向全球客户提供0.35微米到40纳米晶圆代工与技术服务。中芯国际总部位于上海,在上海建有一座300mm 晶圆厂和三座200mm 晶圆厂。在北京建有两座300mm 晶圆厂,在天津建有一座200mm 晶圆厂,在深圳有一座200mm 晶圆厂在兴建中。中芯国际还在美国、欧洲、日本和台湾地区提供客户服务和设立行销办事处,同时在香港设立了代表处。此外,中芯国际在武汉新芯积体电路制造有限公司经营管理一座300mm 晶圆厂。

更多信息,请访问公司网站:http://www.smics.com

关于Synopsys

新思科技公司(Synopsys, Inc., Nasdaq:SNPS)是全球电子设计自动化(EDA)行业的领导者,为全球电子市场提供用于半导体设计、验证和制造的软件、知识产权(IP)和服务。Synopsys完整的、集成化的产品组合将其实施、验证、IP、制造和现场可编程门阵列(FPGA)等方案集于一体,帮助设计师和制造商解决了当前面对的各种关键挑战,如功率消耗、良率管理、系统到芯片(system-to-silicon)验证以及开发周期等。这些技术领先的解决方案可帮助Synopsys的客户建立竞争优势,既可以将最好的产品快速地带入市场,同时降低成本和进度风险。Synopsys的总部位于加利福尼亚州的山景城(Mountain View),并且在北美、欧洲、日本、亚洲和印度设有大约70家办公室。如需获得更多信息,请登录http://www.synopsys.com

本站内容除特别声明的原创文章之外,转载内容只为传递更多信息,并不代表本网站赞同其观点。转载的所有的文章、图片、音/视频文件等资料的版权归版权所有权人所有。本站采用的非本站原创文章及图片等内容无法一一联系确认版权者。如涉及作品内容、版权和其它问题,请及时通过电子邮件或电话通知我们,以便迅速采取适当措施,避免给双方造成不必要的经济损失。联系电话:010-82306118;邮箱:aet@chinaaet.com。