《电子技术应用》
您所在的位置:首页 > 其他 > 业界动态 > FPGA设计中,产生LFSR伪随机数

FPGA设计中,产生LFSR伪随机数

2021-04-22
来源:EETOP

  今天给大侠带来在FPGA设计中,产生LFSR伪随机数,话不多说,上货。

  一、概述

  通过一定的算法对事先选定的随机种子(seed)做一定的运算可以得到一组人工生成的周期序列,在这组序列中以相同的概率选取其中一个数字,该数字称作伪随机数,由于所选数字并不具有完全的随机性,但是从实用的角度而言,其随机程度已足够了。

  这里的“伪”的含义是,由于该随机数是按照一定算法模拟产生的,其结果是确定的,是可见的,因此并不是真正的随机数。伪随机数的选择是从随机种子开始的,所以为了保证每次得到的伪随机数都足够地“随机”,随机种子的选择就显得非常重要,如果随机种子一样,那么同一个随机数发生器产生的随机数也会一样。

  二、由LFSR引出的产生方法

  产生伪随机数的方法最常见的是利用一种线性反馈移位寄存器(LFSR),它是由n个D触发器和若干个异或门组成的,如下图:

  4.png

  其中,gn为反馈系数,取值只能为0或1,取为0时表明不存在该反馈之路,取为1时表明存在该反馈之路,n个D触发器最多可以提供2^n-1个状态(不包括全0的状态)。为了保证这些状态没有重复,gn的选择必须满足一定的条件。

  下面以n=3,g0=1,g1=1,g2=0,g3=1为例,说明LFSR的特性,具有该参数的LFSR结构如下图:

  5.png

  假设在开始时,D2D1D0=111(seed),那么,当时钟到来时,有:

  D2=D1_OUT=1;

  D1=D0_OUT^D2_OUT=0;

  D0=D2_OUT=1;

  即D2D1D0=101;同理,又一个时钟到来时,可得D2D1D0=001。

  画出状态转移图如下:

  6.png

  从图可以看出,正好有2^3-1=7个状态,不包括全0;

  如果你理解了上图,至少可以得到三条结论:

  1)初始状态是由SEED提供的;

  2)当反馈系数不同时,得到的状态转移图也不同;必须保证gn===1,否则不会有反馈;

  3)D触发器的个数越多,产生的状态就越多,也就越“随机”。

  三、verilog实现

  基于以上原理,下面用verilog产生一个n=8,反馈系数为g0g1g2g3g4g5g6g7g8=101110001的伪随机数发生器,它共有2^8=255个状态,该LFSR的结构如下:

  8.png

  verilog源代码如下:

  9.png

  仿真波形:

  10.png

  以1111 1111为种子,load信号置位后,开始在255个状态中循环,可将输出值255、143、111……作为伪随机数。

  本篇就说到这里,各位大侠,有缘再见。




本站内容除特别声明的原创文章之外,转载内容只为传递更多信息,并不代表本网站赞同其观点。转载的所有的文章、图片、音/视频文件等资料的版权归版权所有权人所有。本站采用的非本站原创文章及图片等内容无法一一联系确认版权者。如涉及作品内容、版权和其它问题,请及时通过电子邮件或电话通知我们,以便迅速采取适当措施,避免给双方造成不必要的经济损失。联系电话:010-82306118;邮箱:aet@chinaaet.com。