《电子技术应用》
您所在的位置:首页 > 可编程逻辑 > 设计应用 > 基于MAX+ PLUS 的十进制计数器的设计
基于MAX+ PLUS 的十进制计数器的设计
摘要: 摘要:MAX+PLUSⅡ软件是一种易学易用的设计开发环境,它在数字电路设计中的应用越来越广泛。基于此,首先介绍了MAX+PLUSⅡ软件常用的设计输入方法;其次设计了十进制计数电路,并用MAX+PLUSⅡ软件对电路进行了仿真;最后将该电路图下载到实验箱验证了其功能的正确性。0引言MAX+PLUSⅡ开发系统是易学易用的完全集成化的设计开发环境。目前已发行10.0版本。该软件与LATTICE公司的iSPEXPERT及XILINX的FOUNDATION相比具有使用简单,操作灵活,支持的器件多,设计输入方法灵活多变等特点。常用的设计输入方法如下:(1)图形设计输入:MAX+PLUSⅡ的图形设计输入较其他软件更容易使用,因为MAX+PLUSⅡ提供丰富的库单元供设计者调用,尤其是在MAX+PLUSⅡ里提供的mf库几乎包含了所有的74系列的器件,在prim库里提供了数字电路中所有的分离器件。因此只要具有数字电路的知识,几乎不需要过多的学习就可以利用MAX+PLUSⅡ进行CPLD/FPGA的设计。(2)文本编辑输入:MAX+PLUSⅡ的文本输入和编译系统支持AHDL语言、VHDL语言、VERILOG语言三种输入方式。(3)波形
Abstract:
Key words :

     摘 要: MAX+ PLUS Ⅱ 软件是一种易学易用的设计开发环境, 它在数字电路设计中的应用越来越广泛。基于此, 首先介绍了MAX + PLUS Ⅱ 软件常用的设计输入方法; 其次设计了十进制计数电路, 并用MAX + PLUS Ⅱ软件对电路进行了仿真; 最后将该电路图下载到实验箱验证了其功能的正确性。

  0 引言

  MAX+ PLUS Ⅱ 开发系统是易学易用的完全集成化的设计开发环境。目前已发行10. 0 版本。该软件与LATTICE公司的iSPEXPERT及XILINX的FOUNDATION 相比具有使用简单,操作灵活,支持的器件多,设计输入方法灵活多变等特点。常用的设计输入方法如下:

  ( 1) 图形设计输入: MAX + PLUS Ⅱ 的图形设计输入较其他软件更容易使用, 因为MAX+ PLUS Ⅱ 提供丰富的库单元供设计者调用, 尤其是在MAX+ PLUS Ⅱ 里提供的m f库几乎包含了所有的74系列的器件, 在pr im 库里提供了数字电路中所有的分离器件。因此只要具有数字电路的知识, 几乎不需要过多的学习就可以利用MAX + PLUS Ⅱ 进行CPLD /FPGA 的设计。

  ( 2) 文本编辑输入: MAX + PLUS Ⅱ 的文本输入和编译系统支持AHDL语言、VHDL语言、VER ILOG语言三种输入方式。

  ( 3) 波形输入方式: 如果知道输入、输出波形, 也可以采用波形输入方式。

  ( 4) 混合输入方式: MAX+ PLUS Ⅱ 设计开发环境, 支持图形设计输入、文本编辑输入、波形编辑输入的混合编辑。

  1 十进制计数器的设计

  十进制计数电路结构如图1所示。主要由消抖电路、BCD码计数器和七段译码器构成, 各部分电路介绍如下:

十进制计数电路结构

图1 十进制计数电路结构。

  1. 1 开关防颤动电路

  按钮从最初按下到接触稳定要经过数毫秒的颤动, 键松开也有同样问题, 如图2所示。键入时间ta 因人而异, 一般开关ta< 100 m s。设置开关防颤动电路目的: 按键一次, 输出一个脉冲。即将开关的实际输出作为开关防颤动电路的输入, 而开关防颤动电路的输出为图2所示的理想输出。

按钮开关的颤动

图2 按钮开关的颤动。

  1. 2 BCD码计数器

  本设计采用的BCD码计数电路由74160构成, 74160是十进制同步计数器( 异步清除), 在其功能表中, 当LDN、ENT、ENP、CLRN四个输入端都接高电平时, 对CLK 输入脉冲上升沿进行计数, 由QAQD输出8421码。

  1. 3 七段译码器电路

  本设计采用的七段译码器电路由7447和外部共阴极数码管构成, 7447七段译码器将BCD8421码译成数码管所需的七段数显码。

  综上所述, 所设计的十进制计数器电路如图3所示。

十进制脉冲计数器

图3 十进制脉冲计数器。

  2 十进制计数器的仿真

  打开PC 机界面MAX + PLUS Ⅱ 软件, 输入图3 的电路;选菜单F ile \ Pro ject \ Se t Pro ject to Curren t File, 然后选菜单MAX + p lus \ com plier编辑当前图形文件; 对图3进行仿真, 仿真结果如图4 所示。由图4 知: 所设计的电路( 图3) 符合十进制计数器的逻辑要求。

仿真结果

图4 仿真结果。

  用Byte Blaster 下载缆线联结PC 机并口和实验箱J1( JTAG), 打开实验箱电源开关。选择菜单项MAX + PLUS II \Programmer, 单击Program 按钮, 即开始下载程序。打开实验箱电源开关, 连续按K52键, 数码管LED1将从0按顺序变到9, 符合十进制计数器的逻辑要求。

  3 结束语

  MAX+ PLUS Ⅱ 是电子设计领域的一场革命, 它的应用越来越广泛, MAX+ PLUS Ⅱ 在实验教学的应用, 不仅是提高设计效率的需要, 更重要的是培养适应创新、创业人才的需要, 以适应电子工业的竟争与发展。

此内容为AET网站原创,未经授权禁止转载。