《电子技术应用》
您所在的位置:首页 > 嵌入式技术 > 设计应用 > 一种新型的异步电动机软起动器
一种新型的异步电动机软起动器
耿大勇, 魏 玲, 马文阁
(辽宁工业大学 电气工程学院, 辽宁 锦州121001)
摘要: 提出一种以AT89C51单片机为控制核心的新型异步电动机软起动系统,给出了单片机控制系统硬件电路结构、控制软件框图及其实现方法。试验结果表明,该系统能有效地降低起动电流,且起动过程平稳,无冲击和振荡。可实现电动机的软停车、轻载节能及保护功能。
Abstract:
Key words :

摘   要: 提出一种以AT89C单片机" title="51单片机" target="_blank">51单片机为控制核心的新型异步电动机软起动系统,给出了单片机控制系统硬件电路结构、控制软件框图及其实现方法。试验结果表明,该系统能有效地降低起动电流,且起动过程平稳,无冲击和振荡。可实现电动机的软停车、轻载节能及保护功能。
关键词: 异步电动机; 软起动器; 单片机; 晶闸管

    近年来,随着电力电子技术和计算机控制技术的飞速发展,国内外都十分重视三相异步电动机软起动器的研究和开发。软起动控制旨在降压以限制电机起动电流,减小起动电流对电网的冲击。目前电动机软起动方式有很多,如液阻软起动、磁控软起动、晶闸管软起动和变频软起动等。从起动时间、控制方式的多样性、节能效果和价格等多方面综合比较,以晶闸管软起动方式最优,是当前软起动的主流方式[1-2]。
 本文设计以AT89C51单片机为核心的异步电动机智能软起动器,该装置可根据电动机负载的特性来调整起动过程中的各种参数,是集电动机软起动、软停车、轻载节能和多种保护功能于一体的电机控制装置。
1 硬件系统设计
   异步电动机智能软起动器硬件系统结构如图1所示。本设计采用AT89C51单片机作为整个控制系统的核心。零电压检测电路用于检测相电压的过零点;触发电路用其作为同步信号;断相检测电路用于判断断相故障。触发电路采用全数字化移相控制电路,与零电压检测电路配合,在单片机的控制下,产生移相触发脉冲来调节电机端电压;电流检测电路采用霍尔电流传感器将电流信号转换为电压信号送入A/D转换器,实现电机定子电流的检测,提供软起动和过载保护的依据;显示控制器用来控制LED,用以显示工作参数和状态;键盘电路用于输入系统的参数和控制单片机程序的走向。主要电路的设计说明如下。

1.1 触发电路
 触发电路如图2所示。AT89C51的晶振为6 MHz,自动在其30引脚输出频率为晶振频率1/6(即1 MHz)的脉冲。本系统将此脉冲用作外部时钟源和作为定时脉冲使用。该脉冲经过2个CD4013分频器(每个CD4013接成二分频器,2个串联组成四分频器)分频后,输出频率为250 kHz的脉冲,此脉冲一方面送入触发板的计数器CD4040作为其时钟脉冲,另一方面送入单片机的14引脚(P3.4口)作为计数器T0的计数输入时钟脉冲,用于定时中断。


 晶闸管触发信号的产生:在每1相都串入光耦TLP521,以A相为例,2个光电耦合器TLP521按图2所示接入。另一光电耦合器MOC3020的输出1个脚接主回路A相双向晶闸管的1个阳极,另一脚接触发极。光耦TLP521和MOC3020都起到了控制回路与主回路相隔离的作用。计数器CD4040是12位计数器,将其Q5~Q12输出端与74HC682输入端P0~P7相连。A相电压在1个周期有2个过零点,过零时2个光耦内部的发光二极管均不导通,CD4040的RST端为高电平,CD4040清零并开始计数,计数频率由其时钟端(CLK)输入脉冲控制(来自四分频器),由程序传送给单片机的P2口1个设定数,P2口与74HC682的Q0~Q7端相连。74HC682是数值比较器,当由P0~P7组成的二进制数大于由Q0~Q7组成的二进制数时,其P>Q非端输出低电平,光耦MOC3020导通,晶闸管的触发回路便有触发电流通过,双向晶闸管导通。该触发电路没有采用传统的触发脉冲调制放大电路,设计和实施上更为简单。
 触发角的计算:令计1个数的时间为t1,则t1=16/(250×103)s,设触发角α占1个周期内的时间段为t,则该触发角对应单片机P2口的十进制数γ=t/t1。将γ传送至单片机P2口,则可控制双向晶闸管在电源电压的正、负半波对应α角时被触发导通。B、C二相晶闸管的触发原理同A相。
1.2 电流检测和A/D转换电路
    软起动的主要任务是控制主电路的电流,因此要对电流进行检测和监控。电流检测和A/D转换电路如图3所示。本装置采用LEM电流传感器进行主回路电流的检测,其主要优点是LEM电流传感器可以测量任意波形的电流及瞬态峰值,副边电流能真实地反映原边电流的波形,原边电路与副边电路之间完全绝缘,可靠性高,而且动态性能好,精度高,因此能够很好地满足工业现场应用的需要。为减小误差保证转换精度,没有采用传统的桥式二极管整流电路对LEM模块输出的电流进行整流,而是采用由2个运放组成的精密全波整流电路进行整流并滤波,得到与交流电流成比例变化的0~5V的直流电压信号送入A/D转换器TLC0834转换成二进制数送入单片机,以此信号作为改变晶闸管触发角大小的依据,并用于数码管显示。TLC0834是8位串行控制模数转换器,以5 V作为基准电压,通过与控制处理器相连的串行数据链路传送控制命令,用软件对通道选择和输入端进行配置。多路器寻址通过TLC0834的DI端移入转换器。TLC0834的DI、CLK、DO和/CS分别与单片机的P3.2、P3.3、P3.6和P3.7端口连接。程序中置/CS为低,使TLC0834能够工作,并对转换器初始化;然后从处理器接收1个时钟,在每个时钟的上升跳变时,DI端的数据移入多路器地址移位寄存器。在转换过程中,转换数据同时从DO端输出。这样TLC0834将输入电压转换成二进制数送入单片机后进行处理和显示。


1.3 显示电路
    显示电路如图4所示,由高性能的多位LED显示驱动器PS7219控制。PS7219内部具有15×8 B RAM功能控制器寄存器,寻址方便;其复位引脚与单片机的复位端连接,LOAD、DIN和CLK端分别与单片机的P1.5、P1.6和P1.7端口连接。LOAD脚的功能是装载数据输入,当它为高电平时,串行输入数据的最后16位被锁定。串行数据的传送格式为D15~D0,其中D15~D12为无关位,D11~D8为寄存器地址,D7~D0为数据位;DIN脚的功能是串行数据输入,发送到DIN端的16位数据在每个CLK的下降沿被移入到内部16位寄存器中;然后,在LOAD的上升沿,数据被锁存到数值或控制寄存器中。

1.4 断相保护电路
    断相是对电动机危害较大的一种故障,为此系统单独设置了如图5所示的断相保护电路,主要由摩托罗拉单稳态触发器MC14548和3只二极管组成的“或门”电路实现。断相保护示意图如图6所示。MC14548由上升沿触发,产生1个脉宽由外加定时元件电阻R1和电容C决定的输出脉冲。当MC14548的A端出现1个上升沿、B端为高电平时,Q端(平时输出低电平)输出1个由低到高的脉冲,经过时间τ(τ=R1×C)后从高变低。分别来自A、B、C相触发电路中计数器CD4040的RST端的3个信号经“或门”电路与MC14548的A端相连。因三相电源电压相位互差120°,故接入A、B、C三相回路的3个双光耦TLP521每60°就有1次过零,过零时3个计数器CD4040的RST端一定有1个呈高电平,经过“或门”后MC14548的4脚每60°就有1个上升沿脉冲。若设定时间常数τ的值在大于60°而小于120°所对应的时间为:3.3 ms<τ<6.6 ms,则不缺相时,单稳态触发器将始终处于暂态,MC14548的Q端始终输出高电平,此时触发器的工作状态如图6(a)所示;若断相,MC14548的A端将出现隔120°才出现下一个脉冲的情况,此时MC14548的Q端将从暂态回到稳态,呈现低电平,此时触发器的工作状态如图6(b)所示。将MC14548的Q端连至单片机的P3.0端口,由程序检查P3.0端口,如为零则说明断相,然后进行断相处理。

2 软件系统设计
  软件系统采用模块化设计,主要组成部分如下:
  (1)系统初始化模块:主要完成AT89C51单片机内部定时器、中断系统、堆栈指针、RAM和各I/O口等单元的初始化。
  (2)故障检测模块:主要完成电动机起动前后的异常故障检测,如断相和过流等。
    (3)软起动模块:根据电动机电流检测信号,经单片机分析和处理后得到当前晶闸管触发角的大小,以实现电机的限流起动。以起动电流限定为2倍的额定电流为例,触发角控制算法如下:
     

 (4)A/D采样程序:该程序定时采集电流反馈量,主要在A/D中断服务程序中完成。
 主程序流程图如图7所示。

3  样机试验结果
    对1台样机进行了试验。主回路采用1 000 V、200 A双向晶闸管。以5.5  kW鼠笼式异步电动机带动4 kW直流电机进行PI电流闭环控制的软起动试验,5.5 kW 电动机额定电流为12.6 A,试验中设限定电流约为2倍的额定电流,取为25 A。
    图8为电机起动过程实测电流波形,图8(a)为直接起动过程电流波形,图8(b)为软起动过程电流波形。可见整个软起动过程中电流的变化很平稳,没有出现振荡现象,电机软起动时的起动电流是其稳定运行电流的2倍左右,而直接起动非常迅速,启动电流很大,直接起动时的起动电流是其稳定运行电流的5~7倍。试验结果表明,所设计的软起动器系统效果良好,起动过程平稳,无冲击和振荡。

    本文提出了一种以AT89C51单片机为核心的新型异步电动机智能软起动器的设计方法,本装置集电动机软起动、软停车和多种保护功能于一体,还可以实现电动机的轻载节能运行。经试验运行表明,该装置设计合理,运行可靠,具有较好的实用价值。
参考文献
[1]     刘建业,安曙明,付占稳. 异步电动机起动及节能智能控制技术综述[J]. 电工技术杂志, 2004(3):7-10.
[2]     徐甫荣.交流异步电动机起动调速节能保护控制综述[J]. 电工技术杂志, 2003(4):1-5.
[3]     赵相宾,郭保良.交流电动机电力电子软起动装置的技术要求及试验方法[J].电气传动,2004(6):59-62.
[4]     何立民.单片机应用文集[M]. 北京:北京航空航天大学出版社,2001.
[5]     戴茵茵,方瑞明.单片机控制的异步电动机软起动器研制[C].华侨大学学报,2007,28(2):120-123.

此内容为AET网站原创,未经授权禁止转载。