《电子技术应用》
您所在的位置:首页 > 模拟设计 > 设计应用 > 基于SATA3.0的存储系统优化设计
基于SATA3.0的存储系统优化设计
2021年电子技术应用第1期
李晋涛1,任勇峰1,2,杨志文1,李辉景1
1.中北大学 电子测试技术国家重点实验室,山西 太原030051; 2.中北大学 仪器科学与动态测试教育部重点实验室,山西 太原030051
摘要: 针对遥测试验中,获取的高分辨率、高帧频的大容量图像数据的实时存储以及传输稳定性问题,对存储系统进行了优化设计。该系统以Kintex 7系列FPGA为控制核心,将接收到的大容量图像通过SATA3.0传输总线,存储到固态硬盘中,并且优化了链路状态机,通过实时标志监测解决了传输中断的问题。存储完成后,通过千兆以太网将固态硬盘中的数据读取到上位机进行回放和分析。在常温以及-40 ℃和+60 ℃条件下完成大量的读写测试,结果表明,优化后的存储系统可以完成高速率、长时间的稳定存储,在大容量存储方面具有很好的参考价值。
中图分类号: TN919
文献标识码: A
DOI:10.16157/j.issn.0258-7998.200612
中文引用格式: 李晋涛,任勇峰,杨志文,等. 基于SATA3.0的存储系统优化设计[J].电子技术应用,2021,47(1):86-90.
英文引用格式: Li Jintao,Ren Yongfeng,Yang Zhiwen,et al. An optimized design of a storage system based on SATA3.0[J]. Application of Electronic Technique,2021,47(1):86-90.
An optimized design of a storage system based on SATA3.0
Li Jintao1,Ren Yongfeng1,2,Yang Zhiwen1,Li Huijing1
1.State Key Laboratory of Electronic Tecchnology,North University of China,Taiyuan 030051,China; 2.Key Laboratory of Instrument Science and Dynamic Testing(Ministry of Education) North University of China,Taiyuan 030051,China
Abstract: Aiming at the problem of real-time storage of high resolution, high frame frequency and large capacity image data obtained in telemetry test, as well as the problem of transmission stability, we optimized a storage system. This system takes 7 series FPGA as the control core, and stores the received large-capacity image into the solid state hard disk through SATA3.0 transmission bus. The state machine is optimized in the stored procedure to solve the problem of transmission interruption through the real-time marker monitoring.After the storage was completed, the data in the SSD will be read through the gigabit Ethernet to the computer for playback and analysis.Lots of reading and writing data were completed at room temperature and -40 ℃ and +60 ℃. The results show that the optimized storage system can achieve high speed and long time stable storage and has a good reference value in large capacity storage.
Key words : SATA3.0;solid state disk;OOB;bulk storage

0 引言

    SATA3.0(SerialATA Revision3.0)采用差分信号传输系统,该系统对共模噪声有很强的抵抗力,因此SATA可以采用更低的电压去抑制噪声。在数据传输这一方面,SATA3.0的传输速度在SATA2.0的基础上翻倍,理论上可以达到6 Gb/s。另一方面,SATA总线使用了嵌入式时钟频率信号,具备了比以往更强的纠错能力。在传输过程中能对相应的指令进行检查,即使出现错误的指令,也可以进行及时的修正,因此广泛地应用于实际工程中。但是,基于测试系统产生的数据愈加地趋向于大体积、高速率,多数存储系统采用存储阵列的方式进行存储。然而,随着存储量的增加以及传输环境的复杂,SATA总线会出现个别通道传输中断的现象,虽然只是偶尔现象,但却能造成不可挽回的损失。

    针对以上问题,该设计基于FPGA控制的SATA3.0总线,从硬件和代码方面优化了存储系统并进行验证。实测存储速率不低于800 MB/s,并且数据传输稳定,在大容量存储应用领域具有很好的参考和使用价值。




本文详细内容请下载:http://www.chinaaet.com/resource/share/2000003327




作者信息:

李晋涛1,任勇峰1,2,杨志文1,李辉景1

(1.中北大学 电子测试技术国家重点实验室,山西 太原030051;

2.中北大学 仪器科学与动态测试教育部重点实验室,山西 太原030051)

此内容为AET网站原创,未经授权禁止转载。