《电子技术应用》
您所在的位置:首页 > EDA与制造 > 设计应用 > 基于EDA技术的555单稳态触发器设计与仿真
基于EDA技术的555单稳态触发器设计与仿真
摘要: EDA仿真软件中Electronics Workbench仿真设计分析软件是计算机数字电路与逻辑设计模拟和仿真的软件包,是实用的电子电路在线仿真工具,可加快产品的开发速度,提高工作效率。这里介绍一种基于EDA技术的555单稳态触发器设计与仿真。
关键词: EDA 555 单稳态触发器
Abstract:
Key words :
</a>仿真设计,尤其是电子设计EDA" title="EDA">EDA" title="EDA">EDA仿真技术。EDA仿真软件中Electronics Workbench仿真设计分析软件是计算机数字电路与逻辑设计模拟和仿真的软件包,是实用的电子电路在线仿真工具,可加快产品的开发速度,提高工作效率。这里介绍一种基于EDA技术的555单稳态触发器设计与仿真。

  2 电路设计原理

  2.1 单稳态触发器概述

  电路中只有一种稳定工作状态的触发器叫做单稳态触发器,其特点:在无外加触发信号作用时,电路处于一种稳定工作状态,称为稳态;当输入端有外加触发脉冲信号的上升沿或下降沿(由电路而定)作用时,输出状态立即发生跳变。电路进入暂时稳态状态,称为暂稳态。电路自动恢复原先的稳态,其暂稳态时间与电路阈值电压及外接R、C参数有关。按电路结构,单稳态触发器可分为微分型和积分型两种。前者适用于窄脉冲触发,后者适用于宽脉冲触发。无论哪种电路结构,其单稳态的产生都源于电容的充放电原理。图1为用555定时器组成的单稳态触发器电路。

用555定时器组成的单稳态触发器电路

  2.2 单稳态触发器电路的工作原理

  用555定时器组成的单稳态触发器,图2为其波形图。图中,t0~t1为稳态,t1~t3为暂稳态,t3时刻恢复稳态。

波形图

  由上述可知,555定时器组成的单稳态电路由输入脉冲信号的下降沿触发,使其输出状态产生翻转,另外,在暂稳态过程结束前,u1必须恢复为1,否则电路内的RS触发器为不确定状态,输出不能维持0状态。因此这种单稳态电路只能用负窄脉冲触发。如果输入脉宽大于输出脉宽,则输入端可加RC微分电路,使输入脉宽变窄。

  2.3 单稳态触发器电路输出脉冲宽度的计算

  输出u0的脉冲宽度tW也就是暂稳态的持续时间,可根据uC的波形计算。根据RC电路瞬态过程的分析,可得到:

RC电路瞬态过程的分析

  这种电路产生的脉冲宽度可以从几微秒到数分钟。可通过改变R、C元件参数调节脉冲宽度,精度可达0.1%。综上所述,用555定时器构成的单稳态触发器是负脉冲触发形式,且暂稳态维持时间为TW=lnRC≈1.1RC,仅与电路本身的参数R、C有关。

  3 采用EWB对电路设计过程仿真

  3.1 计算机辅助分析与设计

  计算机辅助分析与设计主要依靠计算机模拟软件,其主要设计过程如图3所示。

设计过程

  3.2 仿真单稳态触发器实验电路

  图4为仿真单稳态触发器实验电路,图5为示波器输出波形。在图4的电阻、电容取值下,移动示波器的1、2两个游标测得暂稳态的维持时间为550.702 1μs,利用公式计算:TW=1.1RC=550μs,实验结果与理论计算结果基本一致。

仿真单稳态触发器实验电路

 示波器输出波形

实验结果与理论计算结果基本一致

  555定时器构成的单稳态触发器是负脉冲触发形式,稳态时输出为低电平,暂稳态时输出为高电平,且其在暂稳态维持时间仅与电路本身的参数R、C有关,与外界触发脉冲的幅值和宽度无关。

  4 结束语

  运用EDA技术对555单稳态触发器设计进行仿真研究,极大方便了电路设计,提高设计效率和准确性。 EWB作为EDA软件,功能强大,可视化界面清晰,且易学易用,可作为高校电路实验和综合电路设计等配套软件,在该实验环境中,设计者不仅可精确地进行电路分析,深入理解电子电路原理,同时也可设计电路与系统,有利于培养设计者的创新思维和创新能力。实践证明运用EDA技术对555单稳态触发器进行设计与仿真的研究方案可行,该实验可节省大量时间且易于改正错误,降低设计成本,也使实验设计结果更加形象化。

此内容为AET网站原创,未经授权禁止转载。