《电子技术应用》
您所在的位置:首页 > 其他 > 业界动态 > CAN总线中循环冗余校验码的原理及其电路实现

CAN总线中循环冗余校验码的原理及其电路实现

2008-08-11
作者:李书瑞, 李 明, 石龙海

  摘  要: 在CAN网络中传输报文时,噪声干扰或传输中断等因素往往使接收端" title="接收端">接收端收到的报文出现错码。为了及时可靠地把报文传输给对方并有效地检测错误,需要采用差错控制。详细介绍了CAN总线中循环冗余校验码的差错控制原理及其实现方法" title="实现方法">实现方法。

  关键词: 循环冗余校验  差错控制  报文

 

  在CAN系统中为保证报文传输的正确性,需要对通信过程进行差错控制。目前常用的方法是反馈重发,即一旦收到接收端发出的出错信息,发送端便自动重发,此时的差错控制只需要检错功能。常用的检错码有两类:奇偶校验码和循环冗余校验码。奇偶校验码是一种最常见的检错码,其实现方法简单,但检错能力较差;循环冗余校验码的编码也很简单且误判率低,所以在通信系统中获得了广泛的应用。下面介绍CAN网络中循环冗余校验码(即CRC码)的原理和实现方法。

1 CRC码检错的工作原理

  CRC码检错是将被处理报文的比特序列当作一个二进制多项式A(x)的系数,该系数除以发送方和接收方预先约定好的生成多项式g(x)后,将求得的余数p(x)作为CRC校验码附加到原始的报文上,并一起发给接收方。接收方用同样的g(x)去除收到的报文B(x),如果余数等于p(x),则传输无误(此时A(x)和B(x)相同);否则传输过程中出错,由发送端重发,重新开始CRC校验,直到无误为止。

  上述校验过程中有几点需注意:①在进行CRC计算时,采用二进制(模2)运算法,即加法不进位,减法不借位,其本质就是两个操作数进行逻辑异或运算;②在进行CRC 计算前先将发送报文所表示的多项式A[x]乘以xn,其中n为生成多项式g[x]的最高幂值。对二进制乘法来讲,A(x)·xn就是将A(x)左移n位,用来存放余数p(x),所以实际发送的报文就变为A(x)·xn+p(x);③生成多项式g[x]的首位和最后一位的系数必须为1。

  图1为CRC校验的工作过程。

 

  目前已经有多种生成多项式被列入国际标准中,如:CRC-4、CRC-12、CRC-16、CCITT-16、CRC-32等。CAN总线中采用的生成多项式为g(x)=x15+x14+x10+x8+x7+x4+x3+1。可以看出,CAN总线中的CRC校验采用的多项式能够校验七级,比一般CRC校验(CRC-4、CRC-12、CRC-16等)的级数(二~五级)要高许多,因而它的检错能力很强,误判率极低,成为提高数据传输质量" title="传输质量">传输质量的有效检错手段。

2 CRC码的电路实现

2.1 硬件电路" title="硬件电路">硬件电路的特点

  在CAN总线中为了产生CRC码,硬件电路除了具有复位和时钟信号以外,还需要以下两个控制信号的参与:①填充位解除信号destuff,它的有效逻辑值是1;②CRC校验的使能信号enable,有效逻辑值也为1。该硬件电路的特点是采用选择器和反相器" title="反相器">反相器代替传统设计中用的异或门,既实现了比较功能,又降低了生产成本,同时也为工程师们提供了一种新的设计思路。

2.2 硬件电路图

  图2即为实现CRC码的硬件电路图。

 

图中需要说明的几点如下:①使能信号和填充位解除信号省略;②crcnxt代表的逻辑值为输入报文序列和CRC寄存器的最高位异或的结果;③标号0~14所指示的为15位CRC寄存器,上升沿触发;④标号1~6所指示的为选择器和反相器的组合逻辑,实现异或功能,该选择器的逻辑功能为具体结构如图3所示。

 

2.3 电路工作过程

  从以上分析可知:①当enable=0时,CRC清0;②当enable=1、destuff=1时,进行正常的CRC计算;③当enable=1而destuff=0时,正在解除填充位,数据暂停传送。

  在各个控制信号均有效时,输入报文的每一位都是和CRC寄存器的最高位相异或后移入最低位,同时寄存器的第13、9、7、6、3、2位均和其最高位异或,结果分别左移一位;其它未进行异或操作的寄存器位值也分别左移一位,直到报文的每一位都移入CRC寄存器为止,此时寄存器中的值即为计算得到的CRC码。

  如果报文的比特序列长度为16,则需要左移16次才能对报文的每一位均进行处理。如果以Ck表示CRC寄存器的第k位位值、Ck′表示移位后的第k位位值(k=0,1,2,3......15),则移位规律见表1。

 

3 CRC校验码的软件实现

  CRC校验码用软件实现起来非常方便。鉴于目前的资料中介绍的方法多使用C语言、汇编语言等实现,而缺乏用硬件描述语言实现,这里给出CRC码的Verilog HDL之行为级描述程序。

  本程序在Verilog_XL下编译通过,同时在Synopsis上成功进行了综合及优化。

  //用Verilog HDL 实现CRC码

  module crc(clk,rst,enable,

  destuff,datain,crc):

  input       clk:

  input       rst:

  input       enable:

  input       destuff:

  input       datain:

    output [14:0] crc:

    reg   [14:0] crc:

    wire  crcnxt=datain^crc(14):

    always@(posedge rst or posedge clk)

          begin

            if (rst)  crc<= 0:

             else if (enable && destuff)

                   begin

                        if (crcnxt)

                            crc<= crc^15 h' 4599:

                                   else

                            crc<= {crc(13:0)1'b0}:

                     end

              end

  endmodule

4 仿真波形

  假设发送的是一个标准格式的远程帧,需求的数据字节为8,标识符序列为10101011000,则对上述程序仿真后的波形如图4所示。crc序列从第20位开始输出。

 

  CRC校验码的检错能力很强,并且由于CRC码检错的软件和硬件实现都很简单,因而被广泛地应用于各类数据校验中。CRC码检错是提高数据传输质量、高效检错的有力手段。

 

参考文献

1 阳宪惠.现场总线技术及其应用.北京:清华大学出版,1998

2 http://www.zlgmcu.com/philips/can/about/BOSCH_CAN_V20_cn.pdf

本站内容除特别声明的原创文章之外,转载内容只为传递更多信息,并不代表本网站赞同其观点。转载的所有的文章、图片、音/视频文件等资料的版权归版权所有权人所有。本站采用的非本站原创文章及图片等内容无法一一联系确认版权者。如涉及作品内容、版权和其它问题,请及时通过电子邮件或电话通知我们,以便迅速采取适当措施,避免给双方造成不必要的经济损失。联系电话:010-82306118;邮箱:aet@chinaaet.com。