《电子技术应用》
您所在的位置:首页 > 其他 > 业界动态 > ASML CEO:限制不能阻止中国技术进步,但会损害美国经济

ASML CEO:限制不能阻止中国技术进步,但会损害美国经济

2021-04-15
来源:半导体行业观察

  据彭博社报道,ASML 首席执行官Peter Wennink日前表示,对华出口管制不仅不能停止其技术进步,而且还会损害美国经济。此前,华盛顿和北京之间的贸易紧张局势导致该荷兰公司的先进芯片设备在中国的销售受到限制。

  Wennink在周三的在线行业活动中说:“我相信,如果您确定存在经济风险,那么出口管制将不是管理经济风险的正确方法,他进一步指出,如果您让中国无法获得技术,这也将使非中国经济体失去大量工作和大量收入。

  Wennink说,由于缺乏外国技术,中国需要很长时间才能建造自己的半导体设备和技术,但这个决定带来的结果是最终非中国公司将被排除在全球最大的芯片市场之一之外。

  据美国商务部估计,如果美国与中国在半导体业务上的业务被完全切断,则会影响美国粤800亿至1,000亿美元的销售并造成125,000个工作岗位的丢失。

  ASML是制造尖端芯片所需的先进的极紫外光刻设备的垄断者,它是三星电子公司和台湾半导体制造公司的重要供应商,按照他们的计划,他们本来想大举进军中国,而北京方面希望建立一个世界一流的本土芯片产业,以摆脱国外进口的依赖。要达成这项成就,将需要ASML的一种EUV机器。然而,由于持续的贸易紧张局势,该公司在让荷兰政府续签向中国出口该系统的许可证方面面临困难。

  Wennink在一月份表示,ASML尚未将其最新的EUV机器运往中国,因为仍在寻求荷兰政府的出口许可证申请,并补充说荷兰,欧洲和美国政府之间一直在进行谈判。

  ASML分享光刻机最新路线图,1.5nm指日可待

  在2月举行的SPIE高级光刻会议上,ASML(ASML.US)展示了有关其深紫外线(DUV)和极紫外线(EUV)曝光系统的最新信息。笔者最近采访了ASML的Mike Lercel,就这些演示文稿进行了深入讨论。

  深紫外

  尽管EUV得到了所有关注,但大多数层仍仍然使用DUV系统曝光,在可预见的将来,这可能仍然适用。

  ASML已生产了两个DUV平台,即用于干式曝光工具的XT平台和用于浸没的NXT平台。NXT是更快,更复杂的平台。

  对于领先的沉浸式技术,ASML推出了面向ArF浸入(ArFi)的第四代NXT平台——NXT:2050i。新系统具有新的晶圆处理机(wafer handler),晶圆载物台(wafer stage),标线片载物台(reticle stage),投影透镜(projection lens),激光脉冲展宽器(laser pulse stretcher)和浸没罩(immersion hood)。这样就可以更快地进行硅片对硅片的排序,更快的测量,防护膜偏斜校正(pellicle deflection )以及具有改善覆盖率的改善斑点。新系统的吞吐量为每小时295个晶圆(wph)。较长期的计划是建立一个330 wph的系统(见图1)。

  ASML现在正在采用NXT平台,并在第一台面向ArF Dry的NXT:1470系统上移植干镜,该系统提供300wph(比NXT:20250i快一点,因为它没有沉浸开销)。NXT:1470的300 wph吞吐量高于XT:1460K的约200 wph的吞吐量。将来,NXT:1470的吞吐能力将进一步提高到330 wph(见图1)。还计划以330 wph的速度将KrF干镜移植到NXT平台上(见图1)。

  3.png

  EUV(0.33NA)

  随着三星和台积电在7nm和5nm逻辑生产以及三星在1z DRAM生产中加大对标准0.33数值孔径(NA)系统的使用,EUV曝光的晶圆数量正在迅速增长(见图2)。

4.png

  NXE:3400C系统自2019年底推出以来一直在发货,而新的NXE:3600D应该在今年晚些时候开始发货。每个新系统都提供了改进的吞吐量和覆盖。

  图3给出了将在下一部分讨论的0.33 NA和High-NA 0.55NA系统的摘要。

  5.png

  1.第一列列出了从NXE3400B系统(最初的生产系统)开始的过去,现在和将来的系统。

  2.第二列提供每个系统的引入日期。值得注意的是,新的NXE:3600D应该在今年晚些时候以更高的性能交付,而第一批高NA系统应该在2022年晚些时候交付。

  3.第三列给出了系统的数值孔径,其中0.33NA代表当前系统,而0.55NA代表正在开发的高NA系统。

  4.接下来的两列显示了ASML证明的20mJ / cm 2和30mJ / cm 2剂量的通量。这些吞吐量基于更典型的DRAM应用中每个硅片的96 field。

  5.发货的系统数量是IC Knowledge对2020年第4季度按类型发货的NXE:3400B和NXE:3400C系统数量的估计,ASML不提供这个。

  6.下一栏是NXE:3400B当前的可用性约85%,NXE:3400C当前的可用性约90%。3400C具有新的模块化容器,可减少停机时间。长期ASML的长期目标是使其达到DUV系统典型的95%可用性。

  7.最后一栏介绍了有关系统和用法的一些注释。我们认为7nm逻辑生产主要在3400B上进行,而5nm在3400C上进行。我们预计即将在未来一到两年内投入生产的3nm工艺将主要在3600D系统上生产。

  密集图案EUV的关键推动因素是Pellicles的可用性,现在有可用Pellicles可用。Pellicles的使用会降低生产量,是否使用Pellicles取决于你所打印的图案密度。图4显示了EUV Pellicles透射的状态。

  6.png

  当前在生产中使用了一些Pellicles。

  High-NA EUV(0.55NA)

  High-NA现在已经从PowerPoint幻灯片发展到工程设计,再到构建模块和框架。预计首批High-NA工具(0.55NA)将于2022年下半年交付。这些EXE:5000系统可能与EXE:5200系统一起用于研发,原因是EXE:5200系统将于2025/2026年成为第一批High-NA生产系统。(见图3)。

  当前的0.33NA系统一次曝光即可打印到大约30nm的间距。现在正在进行工作以一次曝光来演示28nm以及最终26nm的线条和空间。台积电目前正在生产的5nm工艺的M0间距为28nm,我们认为这一层在当前生产中可能是双图案的EUV,而其余使用EUV的层则是单图案。对于将于今年晚些时候开始出现风险试产的的台积电3nm工艺,我们预计将有几个EUV双图案金属层。目前估计0.55NA系统进入生产的时间大约在2025/2026时间范围内,我们可能会看到2nm的代工厂和Intel的5nm工艺正在生产,然后再进行广泛的EUV双重打样。055NA EUV可能会首先出现在晶圆厂的生产中。

  图5展示了高NA EUV的技术价值。

 7.png

  与0.33NA EUV相比,0.55NA EUV的另一个值是较高的对比度,这就让他们可以以低得多的剂量打印出密集的特征,从而提高了通量(图3是特定剂量的通量,不考虑降低剂量)。图6展示了0.55NA的优势。

  8.png

  还正在进行改进的EUV掩模吸收层的工作,以提高对比度和分辨率,请参见图7,并要改进光刻胶,请参见图8。

  9.png

  当前,他们正在制造用于高NA工具的模块和框架。

  ASML继续在其整个DUV和EUV系统产品组合中提高吞吐量和分辨率。随着高NA系统制造的进行,通往1.5nm逻辑及更高工艺的道路正在酝酿之中。

  

本站内容除特别声明的原创文章之外,转载内容只为传递更多信息,并不代表本网站赞同其观点。转载的所有的文章、图片、音/视频文件等资料的版权归版权所有权人所有。本站采用的非本站原创文章及图片等内容无法一一联系确认版权者。如涉及作品内容、版权和其它问题,请及时通过电子邮件或电话通知我们,以便迅速采取适当措施,避免给双方造成不必要的经济损失。联系电话:010-82306118;邮箱:aet@chinaaet.com。