《电子技术应用》
您所在的位置:首页 > 通信与网络 > 设计应用 > 基于FPGA的ZUC-256算法实现架构分析
基于FPGA的ZUC-256算法实现架构分析
电子技术应用
贾旭光1,徐颂2,白海通3,邓超1
1.华北计算机系统工程研究所,北京 100083;2.中电智能科技有限公司,北京 102209; 3.中国人民解放军陆军,北京 100044
摘要: 分析了ZUC-256算法架构,针对算法中多输入模231-1加法,提出了多种适用于FPGA的实现架构。通过分析关键路径,提出全硬件和软硬协同等多种优化方案,可适用于不同的应用场景。以Zynq-7000系列FPGA为例,详细对比了各个方案的性能差异。经验证,提出的方案可同时适用于ZUC-128和ZUC-256算法,可以广泛应用于4G LTE和5G-NR移动通信中。
关键词: ZUC-256 FPGA 5G CSA
中图分类号:TN918.4 文献标志码:A DOI: 10.16157/j.issn.0258-7998.234186
中文引用格式: 贾旭光,徐颂,白海通,等. 基于FPGA的ZUC-256算法实现架构分析[J]. 电子技术应用,2023,49(12):45-49.
英文引用格式: Jia Xuguang,Xu Song,Bai Haitong,et al. Implementation and architecture analysis of ZUC-256 algorithm based on FPGA[J]. Application of Electronic Technique,2023,49(12):45-49.
Implementation and architecture analysis of ZUC-256 algorithm based on FPGA
Jia Xuguang1,Xu Song2,Bai Haitong3,Deng Chao1
1.National Computer System Engineering Research Institute of China, Beijing 100083, China; 2.Intelligence Technology of CEC Co.,Ltd., Beijing 102209, China;3.PLA Army, Beijing 100044, China
Abstract: The ZUC-256 algorithm architecture is analyzed,and a couple of implementation architectures suitable for FPGA are proposed for the multiple-input modulo 231-1 adder. By analyzing the critical path, various optimization schemes such as full hardware and hardware-software codesign are proposed, which can be applied to different application scenarios. Taking Zynq-7000 FPGA for example, the performance differences of each scheme are compared in detail. It has been verified that the proposed scheme can be applied to both ZUC-128 and ZUC-256 algorithms, and can be widely used in 4G LTE and 5G-NR mobile communication.
Key words : ZUC-256;FPGA;5G;CSA

0 引言

祖冲之密码(ZUC)算法为我国自主设计的密码算法,主要包含流加密算法和完整性算法。2011年,ZUC算法被3GPP批准成为4G LTE国际密码算法标准。近年来,随着新一代移动通信5G-NR标准的确定,我国也在大力推广5G通信相关的研发和产业化。3GPP在会议中明确5G通信中使用128 bit和256 bit密钥的对称加密算法,并保持向4G兼容。为此,我国在ZUC-128的基础上提出了ZUC-256算法。ZUC-256由128 bit密钥升级为256 bit密钥,提供消息加密和认证功能,可广泛应用于5G移动通信的安全保护。目前针对ZUC算法的研究已经有多种快速软件实现和硬件优化实现方案[1-5],本文通过分析ZUC算法架构和工作流程,提出一种软硬协同实现方案,经验证,相比单硬件实现有较大的性能提升。

本文将内容分为3个部分,第一部分为背景技术介绍,分析了ZUC-256算法结构,并对比了ZUC-256和ZUC-128的差异;第二部分为FPGA实现,首先分析了ZUC算法涉及的运算单元,重点介绍了模231-1加法器的实现方式,提出了4种6输入模231-1加法器实现架构,然后通过分析关键路径以及引入流水线架构,提出了全硬件和软硬件协同架构实施方案,最后对不同实现架构进行了性能分析;第三部分为总结与展望。


本文详细内容请下载:https://www.chinaaet.com/resource/share/2000005804


作者信息:

贾旭光1,徐颂2,白海通3,邓超1

(1.华北计算机系统工程研究所,北京 100083;2.中电智能科技有限公司,北京 102209;
3.中国人民解放军陆军,北京 100044)




weidian.jpg

此内容为AET网站原创,未经授权禁止转载。