基于FPGA的智能交通车牌定位与识别技术报告——第三届OpenHW开放源码硬件与嵌入式大赛三等奖
所属分类:参考设计
上传者:chenyy
文档大小:3654 K
标签: FPGA
所需积分:0分积分不够怎么办?
文档介绍:本文主要在Xilinx FPGA上实现车辆牌照识别系统。主要分为三个模块:a) 软件模块:车辆牌照识别软件的编写;b) 硬件模块:Xilinx FPGA上的MicroBlaze软核的建立;c) 软硬件综合:将识别软件移植到MicroBlaze软核上运行。 基于FPGA的智能车牌识别系统主要是编写车牌识别的算法,然后利用FPGA器件对算法进行实现运行,最后对已经编写并且成功移植的算法进行模块的生成,使其成为FPGA上的一个硬件的IP核。那么对于整个项目的设计就需要分为车牌识别的软件算法设计和硬件FPGA算法实现两部分。首先在Windows操作系统下使用VC++6.0编译器编写车牌识别的算法,然后对算法进行改进优化无误后,将实现的算法进行移植,在FPGA仿真板上进行实现,最后将关键部分算法改写为Verilog模块,在FPGA上生成IP核,以实现算法的硬件处理固定化。
现在下载
VIP会员,AET专家下载不扣分;重复下载不扣分,本人上传资源不扣分。