基于FPGA的图像中值滤波器设计
所属分类:参考设计
上传者:aet
文档大小:381 K
标签: FPGA
所需积分:0分积分不够怎么办?
文档介绍:介绍了基于FPGA的图像采集及处理系统的总体结构和模块设计。在图像处理模块中,根据FPGA并行计算的特点,提出了改进的中值滤波算法。通过与原算法进行比较,论证了该算法在提高系统效率方面具有优势。
现在下载
VIP会员,AET专家下载不扣分;重复下载不扣分,本人上传资源不扣分。