基于FPGA的PN码捕获和跟踪技术的研究与实现
所属分类:参考设计
上传者:aet
文档大小:381 K
标签: FPGA
所需积分:0分积分不够怎么办?
文档介绍:研究了在直接序列扩频通信中基于FPGA的基带PN码(扩频码)的捕获跟踪技术。在PN码解调中,介绍了串并混合的捕获方案和基于迟早门的跟踪方案。以Quartus II作为平台采用Verilog HDL的硬件描述语言进行设计,并进行了Modelsim的仿真测试,最终下载到具体FPGA器件进行了基带的传输测试。
现在下载
VIP会员,AET专家下载不扣分;重复下载不扣分,本人上传资源不扣分。